ピン・アサインの使い方(DE0)

第17章 ピン・アサインの使い方を入力。
今まで毎回ピン・アサインをチクチク指定してきた。
次回からはこのPinAssignのプロジェクトからピン・アサインをインポートすればOKということか。
入力系はチェックができるわけじゃないから正しくピン・アサインができたかは不明だけど、出力系は全部消灯しているから大丈夫だと思う。

カテゴリー: DE0, FPGA/CPLD タグ: パーマリンク

コメントを残す

メールアドレスが公開されることはありません。 * が付いている欄は必須項目です

CAPTCHA


このサイトはスパムを低減するために Akismet を使っています。コメントデータの処理方法の詳細はこちらをご覧ください